Accuracy-improved coupling capacitance model for through-silicon via (TSV) arrays using dimensional analysis

Accuracy-improved coupling capacitance model for through-silicon via (TSV) arrays using dimensional analysis

Files

Department

Electronics & Communications Engineering Department

Description

[abstract not available]

Publication Date

7-29-2016

Document Type

Book Chapter

Book Title

Proceedings - IEEE International Symposium on Circuits and Systems

ISBN

SCOPUS_ID:84983375216

Publisher

IEEE

City

Montreal, QC

First Page

1930

Last Page

1933

Keywords

2.5D-IC, 3D-IC, SiP, TSV

Accuracy-improved coupling capacitance model for through-silicon via (TSV) arrays using dimensional analysis

Share

COinS