INVITED: Toward an open-source digital flow: First learnings from the OpenROAD project

INVITED: Toward an open-source digital flow: First learnings from the OpenROAD project

Files

Department

Computer Science & Engineering Department

Description

[abstract not available]

Publication Date

6-2-2019

Document Type

Book Chapter

Book Title

Proceedings - Design Automation Conference

ISBN

9781450367257

Publisher

Association for Computing Machinery

City

New York, NY

INVITED: Toward an open-source digital flow: First learnings from the OpenROAD project

Share

COinS